ASML « Terug naar discussie overzicht

ASML 2024

6.691 Posts, Pagina: « 1 2 3 4 5 6 ... 62 63 64 65 66 67 68 69 70 71 72 ... 331 332 333 334 335 » | Laatste
FBOD
0
quote:

zjeeraar schreef op 31 januari 2024 16:47:

Kijk, met het kopen van o.a. Zeiss ......
Zeiss is niet gekocht hoor, maar wel een stategisch partnerschap!
Skywatcher
2
quote:

FBOD schreef op 31 januari 2024 17:06:

[...]

Zeiss is niet gekocht hoor, maar wel een stategisch partnerschap!
Nou…
ASML neemt belang in toeleverancier Carl Zeiss
03 november 2016

ASML neemt voor 1 miljard euro een belang van 24,9 procent in zijn toeleverancier Carl Zeiss SMT. Dat meldde de chipmachinefabrikant donderdag.
zjeeraar
2
quote:

FBOD schreef op 31 januari 2024 17:06:

[...]

Zeiss is niet gekocht hoor, maar wel een stategisch partnerschap!
ASML koopt 24,9% van ZEISS-dochter Carl Zeiss SMT voor EUR 1 miljard in contanten.
*voetnoot
1
quote:

FBOD schreef op 31 januari 2024 17:06:

[...]

Zeiss is niet gekocht hoor, maar wel een stategisch partnerschap!
1 miljard en daarmee verzekerd dat ze alleen lenzen maken voor ASML (met voorrang)! Als u het boek Focus had gelezen kon u ‘meepraten’.
zjeeraar
2
quote:

*voetnoot schreef op 31 januari 2024 17:24:

[...]
1 miljard en daarmee verzekerd dat ze alleen lenzen maken voor ASML (met voorrang)!
Rest van de concurrentie is hiermee gewoon op een zijspoor gezet.....
*voetnoot
2
quote:

zjeeraar schreef op 31 januari 2024 17:26:

[...]

Rest van de concurrentie is hiermee gewoon op een zijspoor gezet.....
Strategisch een meesterzet!
zjeeraar
2
In de na-veiling maar liefst 342.779 stukjes op 798,20€ ..... niet normaal vind ik het te toch wel te noemen.......

Fijne avond allemaal......
zjeeraar
2
ASML vuurt terug op de beschuldigingen dat de nieuwe generatie High-NA EUV-chipmakers te duur zijn.

ASML heeft nu gereageerd op de kritiek van analisten bij SemiAnalysis , die van mening zijn dat het gebruik van de nieuwe generatie High-NA-chipmakers van het bedrijf financieel gezien weinig zin heeft. In een recent interview met Bits and Chips zei de CFO van het bedrijf echter dat High-NA op koers ligt en gezond is en dat het analistenbureau de voordelen ervan heeft onderschat. Tijdens de recente winstoproep van het bedrijf reageerde de CEO van ASML ook op vragen over het rapport en zei dat de nieuwe technologie “heel duidelijk de meest kosteneffectieve oplossing is, zowel qua logica als geheugen.”

ASML's Twinscan EXE High-NA EUV-lithografietools zijn van cruciaal belang voor het produceren van de volgende generatie procestechnologieën kleiner dan 2 nm. Maar ze zijn ook aanzienlijk duurder dan de bestaande Twinscan NXE Low-NA- lithografietools voor extreem ultraviolet (EUV) – sommigen zeggen dat ze tussen de $300 miljoen en $400 miljoen kosten. Ze hebben ook andere bijzonderheden, zoals een gehalveerd dradenkruis en grote afmetingen, wat een deel van de reden is dat sommige analisten beweren dat de tools niet economisch haalbaar zijn voor alle productielijnen.

Zoals je zou verwachten is ASML het niet eens met die beoordeling, waarbij de CFO van het bedrijf Bits and Chips vertelt dat de orders overeenkomen met de verwachtingen van het bedrijf en dat SemiAnalysis de waarde heeft onderschat van het verminderen van de procescomplexiteit door het vermijden van kostbare dubbele en viervoudige patronen. Hij zei ook dat je eenvoudigweg met Intel kunt praten over de complicaties die worden veroorzaakt door dubbele patronen, een verwijzing naar Intel's mislukkingen met 10 nm die op zijn minst gedeeltelijk te wijten waren aan een gebrek aan EUV-technologie, om inzicht te krijgen in de problemen. In feite is Intel vandaag de dag de belangrijkste klant voor High-NA , nadat het onlangs de eerste onderdelen van de eerste High-NA-machine heeft ontvangen die naar een klant zijn verzonden. Laten we de problemen eens nader bekijken.
zjeeraar
1
Nieuwe tools komen eraan (en zijn nodig)......
ASML's Twinscan EXE van de volgende generatie heeft een lens met een numerieke apertuur (NA) van 0,55 en zal dus een resolutie van 8 nm (kritieke dimensie) bereiken, wat een substantiële vooruitgang betekent ten opzichte van de huidige EUV-tools die een resolutie van 13 nm bieden. Dat betekent dat het transistors 1,7 keer kleiner kan printen – en dus een transistordichtheid kan bereiken die 2,9 keer hoger is – dan met Low-NA-tools met een enkele belichting.

Lithosystemen met een lage NA kunnen een vergelijkbare resolutie bereiken, zij het met twee belichtingen, met een kostbaar proces van dubbele patroonvorming. Het bereiken van kritische afmetingen van 8 nm is cruciaal voor de productie van chips met behulp van sub-3 nm-procestechnologieën, die de industrie tussen 2025 en 2026 wil adopteren.

High-NA EUV-implementatie belooft fabrieken in staat te stellen de noodzaak van dubbele EUV-patronen te omzeilen, de processen te vereenvoudigen, mogelijk de opbrengsten te verbeteren en de kosten te verlagen. Maar het brengt ook veel uitdagingen met zich mee.
Pk2001wdt
1
Super micro computers blijft ook maar stijgen in de laatste 4 jaar van 16 dollar naar de 533 nu
Mroption
1
quote:

Mroption schreef op 31 januari 2024 20:13:

Rente blijft gelijk nu even wachten op het na-praatje
Dat word dik groen morgen!
Inion
0
quote:

Mroption schreef op 31 januari 2024 21:00:

[...]

Dat word dik groen morgen!
Zou je denken? Waarom?
Mroption
0
quote:

Inion schreef op 31 januari 2024 21:10:

[...]

Zou je denken? Waarom?
Amerika komt met miljarden steun voor chipmakers
Inion
0
Oh, ok, die had ik even gemist. Vandaar dus de piek in de koers van ASML daarnet. Koel.
Gronkie
0
quote:

Mroption schreef op 31 januari 2024 21:17:

[...]

Amerika komt met miljarden steun voor chipmakers
In die krant zit al lang vis verpakt….
6.691 Posts, Pagina: « 1 2 3 4 5 6 ... 62 63 64 65 66 67 68 69 70 71 72 ... 331 332 333 334 335 » | Laatste
Aantal posts per pagina:  20 50 100 | Omhoog ↑

Meedoen aan de discussie?

Word nu gratis lid of log in met uw e-mailadres en wachtwoord.

Direct naar Forum

Detail

Vertraagd 28 mei 2024 17:39
Koers 896,000
Verschil +13,400 (+1,52%)
Hoog 898,400
Laag 884,300
Volume 399.600
Volume gemiddeld 513.115
Volume gisteren 168.545

EU stocks, real time, by Cboe Europe Ltd.; Other, Euronext & US stocks by NYSE & Cboe BZX Exchange, 15 min. delayed
#/^ Index indications calculated real time, zie disclaimer, streaming powered by: Infront