ASML « Terug naar discussie overzicht

ASML 2020

7.502 Posts, Pagina: « 1 2 3 4 5 6 ... 324 325 326 327 328 329 330 331 332 333 334 ... 372 373 374 375 376 » | Laatste
[verwijderd]
1
Chinese Academy of Science zegt:
Onlangs heeft de president van de CAS ook officieel aangekondigd dat hij door de VS wordt geconfronteerd met beperkingen voor binnenlandse hightechindustrieën. CAS hoopt wat werk op dit gebied te doen, zoals het bouwen van fotolithografiemachines.

De CAS-president zei dat de VS "zijn nek had gestoken in onze takenlijst voor wetenschappelijk onderzoek", zoals het verstoren van belangrijke kerntechnologieën en belangrijke grondstoffen.

Op het gebied van de productie van 2nm-chips zei CAS dat het een technologische doorbraak heeft bereikt op het gebied van zelf-uitgelijnde, gate-gestapelde, verticale, nano-ring gate-transistortechnologie.


www.asiatimesfinancial.com/in-sino-us...
H.A.B.S.
0
quote:

Bowski schreef op 18 september 2020 07:58:

Chinese Academy of Science zegt:
Onlangs heeft de president van de CAS ook officieel aangekondigd dat hij door de VS wordt geconfronteerd met beperkingen voor binnenlandse hightechindustrieën. CAS hoopt wat werk op dit gebied te doen, zoals het bouwen van fotolithografiemachines.

De CAS-president zei dat de VS "zijn nek had gestoken in onze takenlijst voor wetenschappelijk onderzoek", zoals het verstoren van belangrijke kerntechnologieën en belangrijke grondstoffen.

Op het gebied van de productie van 2nm-chips zei CAS dat het een technologische doorbraak heeft bereikt op het gebied van zelf-uitgelijnde, gate-gestapelde, verticale, nano-ring gate-transistortechnologie.


www.asiatimesfinancial.com/in-sino-us...
Interessant artikel, dank voor het delen!

Ik heb de technische kennis niet om het te kunnen beoordelen, maar als dit waar is zou het een potentiële "ASML killer" zijn. Iemand een mening over de omschreven techniek? Waar is Wally? :))
Matth1
1
quote:

H.A.B.S. schreef op 18 september 2020 11:04:

[...]

Interessant artikel, dank voor het delen!

Ik heb de technische kennis niet om het te kunnen beoordelen, maar als dit waar is zou het een potentiële "ASML killer" zijn. Iemand een mening over de omschreven techniek? Waar is Wally? :))
Ik ben vrij sceptisch... Bij Intel krijgen ze 7nm niet goed, maar China zou opeens 2nm zonder ASML tech kunnen maken??? dan had je al wel veel concretere berichtgeving voorbij zien komen.

China heeft ook volgens hun eigen berichtgeving nooit gespioneerd he. Was zogezegd fake news!
[verwijderd]
0
quote:

Matth1 schreef op 18 september 2020 11:35:

[...]

Ik ben vrij sceptisch... Bij Intel krijgen ze 7nm niet goed, maar China zou opeens 2nm zonder ASML tech kunnen maken??? dan had je al wel veel concretere berichtgeving voorbij zien komen.
Het domste wat je kan doen is ze onderschatten en van tafel vegen.

Ik herinner me van ruim 50jaar geleden het begin van het transistortijdperk.
Er werd toen heel denigrerend gedaan over die inferieure Japanse radiootjes enzo.
We weten allemaal hoe superieur Japanse producten zijn geworden...

Bedenk verder dat de Chinezen zeer vastbesloten zijn.
'tKan wel 5jaar of langer duren. Maar hoe lang deed ASML er over om EUV voor elkaar te krijgen?
Die Chinese lithografiemachine komt er. En die 2nm ook als het kan.
H.A.B.S.
0
quote:

Matth1 schreef op 18 september 2020 11:35:

[...]

Ik ben vrij sceptisch... Bij Intel krijgen ze 7nm niet goed, maar China zou opeens 2nm zonder ASML tech kunnen maken??? dan had je al wel veel concretere berichtgeving voorbij zien komen.

China heeft ook volgens hun eigen berichtgeving nooit gespioneerd he. Was zogezegd fake news!
Dat je met dit soort dingen China NOOIT moet vertrouwen ben ik met je eens. Ik vroeg me alleen af of de omschreven techniek theoretisch haalbaar is en of dit misschien een pril begin kan zijn.

Tnx!
Johan van de keucken
0
Binnen 10 jaar hebben de Chinesen deze tak van sport overgenomen ,dankzij Trump.
Met een K/W van 60 jaar wordt deze prijs nooit meer terug verdiend.
WaarIsWally
3
CAS is niet meer dan een universiteit.
er zijn meerdere manieren om een een patroon te creeren welke kleiner is dan 2 Nm. (een ASML machine kopen is 1 manier). Echter of het in high volume manufacturing gebruikt kan worden is een heel ander verhaal, vraag maar aan Mapper, ohnee wacht, ASML tegenwoordig.
Ofwel. mooi dat iets in theorie werkt.

Self aligning transistors bestaan overigens al heeeel lang. omstreken ~1960 / 1970
Daar eindigd mijn verhaal dan ook eigenlijk wel een beetje.

ik kom dan terug uit op het is een universiteit. Ik weet vrij zeker dat ik het al te veel krediet zou geven als ik het zou vergelijken met IMEC
Noem wat chip fabrikanten, een universiteit en breaktrough in een artikel en je hebt in iedergeval clickbait. Overigens maakt SMIC gebruik van ASML machines, zij zijn degene die last hebben van trump.

even gegoogled:
www.andksocket.com/industry-news/Brea...
Potverdorie zeg hee.. t komt eigenlijk gewoon van IMEC vandaan, onafhankelijke research partij ingehuurd door de grote chipbakkers en ASML. grote kans dat dit met behulp van een EUV en / of DUV gemaakt is. Ziet er naar uit dat het een techniek is om kleinere chips te kunnen maken, net zoals multi patterning

dat andere artikel van H.A.B.S is wel wat ik erken.
China gaat Chips bakken! en in hoog tempo ook, maar dit weten we inmiddels al ~ 5 a 10 jaar.
Nu komt het besef bij partijen zoals infeon dat ze een concurrent hebben met unlimited funds.
De fabrieken beginnen echt vorm aan te nemen en het gaat niet lang duren voordat er echt significante aantallen met chips geproduceerd gaan worden.
Automotive (NXP, Infeon) zitten in low range market en gebruiken echt "heel erg low end" lithography machines. de chinese partijen kopen mid range waardoor ze financieel toch wel een kleinere footprint zullen hebben (meer output, betere yield, relatief kleinere footprint van machines = Minder kosten per wafer). Karigheid van de gerenommeerde bedrijven gaat ze markt kosten.
Aandeelhouders hebben lekker lang kunnen verdienen, er zijn geen investeringen gedaan en binnenkort gaan ze kopje onder.
Inion
0
quote:

Max Felix schreef op 18 september 2020 13:58:

Binnen 10 jaar hebben de Chinesen deze tak van sport overgenomen ,dankzij Trump.
Met een K/W van 60 jaar wordt deze prijs nooit meer terug verdiend.
Wat een opluchting voor jou. Hoef je niet de beslissing te nemen om in ASML te beleggen. ;-)
H.A.B.S.
0
quote:

WaarIsWally schreef op 18 september 2020 14:28:

CAS is niet meer dan een universiteit.
er zijn meerdere manieren om een een patroon te creeren welke kleiner is dan 2 Nm. (een ASML machine kopen is 1 manier). Echter of het in high volume manufacturing gebruikt kan worden is een heel ander verhaal, vraag maar aan Mapper, ohnee wacht, ASML tegenwoordig.
Ofwel. mooi dat iets in theorie werkt.

Self aligning transistors bestaan overigens al heeeel lang. omstreken ~1960 / 1970
Daar eindigd mijn verhaal dan ook eigenlijk wel een beetje.

ik kom dan terug uit op het is een universiteit. Ik weet vrij zeker dat ik het al te veel krediet zou geven als ik het zou vergelijken met IMEC
Noem wat chip fabrikanten, een universiteit en breaktrough in een artikel en je hebt in iedergeval clickbait. Overigens maakt SMIC gebruik van ASML machines, zij zijn degene die last hebben van trump.

even gegoogled:
www.andksocket.com/industry-news/Brea...
Potverdorie zeg hee.. t komt eigenlijk gewoon van IMEC vandaan, onafhankelijke research partij ingehuurd door de grote chipbakkers en ASML. grote kans dat dit met behulp van een EUV en / of DUV gemaakt is. Ziet er naar uit dat het een techniek is om kleinere chips te kunnen maken, net zoals multi patterning

dat andere artikel van H.A.B.S is wel wat ik erken.
China gaat Chips bakken! en in hoog tempo ook, maar dit weten we inmiddels al ~ 5 a 10 jaar.
Nu komt het besef bij partijen zoals infeon dat ze een concurrent hebben met unlimited funds.
De fabrieken beginnen echt vorm aan te nemen en het gaat niet lang duren voordat er echt significante aantallen met chips geproduceerd gaan worden.
Automotive (NXP, Infeon) zitten in low range market en gebruiken echt "heel erg low end" lithography machines. de chinese partijen kopen mid range waardoor ze financieel toch wel een kleinere footprint zullen hebben (meer output, betere yield, relatief kleinere footprint van machines = Minder kosten per wafer). Karigheid van de gerenommeerde bedrijven gaat ze markt kosten.
Aandeelhouders hebben lekker lang kunnen verdienen, er zijn geen investeringen gedaan en binnenkort gaan ze kopje onder.
Oké, even voor mij in simpele woorden: van die 2nm mogen ze voorlopig nog even dromen en als die droom ooit werkelijkheid wordt duurt dat het nog 15 jaar. Right?! ;)

Dank voor je reactie/toelichting, verhelderend!
Marcel H.
0
quote:

H.A.B.S. schreef op 18 september 2020 15:49:

[...]

Oké, even voor mij in simpele woorden: van die 2nm mogen ze voorlopig nog even dromen en als die droom ooit werkelijkheid wordt duurt dat het nog 15 jaar. Right?! ;)

Dank voor je reactie/toelichting, verhelderend!
Geef eens een advies. Maandag kopen/verkopen?
H.A.B.S.
0
quote:

Marcel H. schreef op 20 september 2020 01:18:

[...]

Geef eens een advies. Maandag kopen/verkopen?
Ik geef geen advies, maar kan je wel vertellen wat ik ga doen. Ik ga weer calls bijkopen om mijn GAK te verlagen. Ik sta namelijk na mijn instappen op 16 juli nog steeds in de min.

Wat is jouw positie nu? Heb je nog calls?
Marcel H.
0
quote:

H.A.B.S. schreef op 20 september 2020 10:42:

[...]

Ik geef geen advies, maar kan je wel vertellen wat ik ga doen. Ik ga weer calls bijkopen om mijn GAK te verlagen. Ik sta namelijk na mijn instappen op 16 juli nog steeds in de min.

Wat is jouw positie nu? Heb je nog calls?
Naast aandelen heb ik voornamelijk calls 2023 en 2024. Recent heb ik calls okt/2020 gekocht. ASML presenteert in die maand kwartaalcijfers. Gaan die mee- of tegenvallen? Ik waag geen voorspelling. Jammer dat AD (als deskundige) niet meer van de partij is op het forum.
H.A.B.S.
0
quote:

Marcel H. schreef op 20 september 2020 11:28:

[...]

Naast aandelen heb ik voornamelijk calls 2023 en 2024. Recent heb ik calls okt/2020 gekocht. ASML presenteert in die maand kwartaalcijfers. Gaan die mee- of tegenvallen? Ik waag geen voorspelling. Jammer dat AD (als deskundige) niet meer van de partij is op het forum.
Ik vind het ook opmerkelijk dat we niets meer van AD horen. Want als ASML begint te stijgen zie je hem elke dag hel en verdoemenis verkondigen. Maar zodra het aandeel weer wat gaat zakken is ie zo weer verdwenen. Je zou toch zeggen dat je dan juist je gelijk zou moeten komen halen. Vreemd toch?!

Heb naast dec22 ook dec23 en dec24 calls. Kortlopender dan dec22 wil ik niet aan beginnen. Zal wel onervarenheid zijn.
Matth1
0
Same here @HaBS. 2022 is kortstlopende in asml callopties dat ik heb.

Terug op het vorige: dat china chios gaat maken is duidelijk. Al moeten ze zoveel spenderen als amerika doet aan 'defensie'. Maar dat ze nu al 2nm kunnen maken op industriele schaal? Nope, I dont buy it. Dat china van 2025 een succes wilt maken en dat ze tussen 2025 en 2030 in de mogelijkheid zijn? Ja da zie ik nog wel gebeuren... maar dat beinvloed de huidige koers niet direct (toch niet dat er dusdanig verkocht wordt door perspectief van 2025..)
Marcel H.
0
quote:

H.A.B.S. schreef op 20 september 2020 23:17:

[...]

Ik vind het ook opmerkelijk dat we niets meer van AD horen. Want als ASML begint te stijgen zie je hem elke dag hel en verdoemenis verkondigen. Maar zodra het aandeel weer wat gaat zakken is ie zo weer verdwenen. Je zou toch zeggen dat je dan juist je gelijk zou moeten komen halen. Vreemd toch?!

Heb naast dec22 ook dec23 en dec24 calls. Kortlopender dan dec22 wil ik niet aan beginnen. Zal wel onervarenheid zijn.
En Adje zou een welkome gast zijn. Alleen al voor de sfeer. Ik verwacht dat AD geen voorspellingen meer durft te doen.
WaarIsWally
3
quote:

H.A.B.S. schreef op 18 september 2020 15:49:

[...]

Oké, even voor mij in simpele woorden: van die 2nm mogen ze voorlopig nog even dromen en als die droom ooit werkelijkheid wordt duurt dat het nog 15 jaar. Right?! ;)

Dank voor je reactie/toelichting, verhelderend!
2NM is momenteel haalbaar, de vraag is met welke techniek en of het High volume manufacturing toestaat, zoniet dan valt het af.
Hier zijn dan nog 2 smaken in:

1: alternatieve methode van IC's maken. (geen lithografie machine maar bijvoorbeeld een elektronen op een wafer projecteren zoals mapper deed). hier bij moet je een nieuwe machine ontwikkelen en wellicht zelfs heel de FAB van de klant overhoop gooien. Dit zou dan wel 15 jaar duren en dan is er plots een concurrent voor EUV.
Dan moet wel iemand bereid zijn om letterlijk 100+miljarden te investering in een "onbekende" partij om 2 NM te gaan produceren.

2e: het gaat om een processing methodiek processing methode, d.w.z. dat je hiervoor een ASML machine nodig hebt, echter is het patent dan in handen van een producerende partij (bijv, SMIC, TSMC, intel etc.).
Mocht dit inderdaad zo zijn, dan staan er een paar high end machines in een aparte ruimte van SMIC waarbij de 24 / 7 die techniek gaan uitproberen. wanneer dit onder controle is dan wordt het vrijgegeven voor productie, een bulk met machines bestellen ze en de productie lijn wordt opgezet.

gezien dat dat artikel over china gaat: SMIC heeft een hele high end NXT nodig of een hele high end EUV om deze techniek volledig onder controle te krijgen. Daarna kunnen ze concurrentie voor gaan blijven door een patent op deze methode te hebben. (helaas wordt nu een EUV voor ze verboden door trump en op dit moment gaat daar Nederland in mee).

Er staat wel in het artikel dat ze zelf lithografie machines willen bouwen. dan zou het op het 1e punt aansluiten. Een hele nieuwe methode om chips te maken dit gaat dan idd nog 15+ jaar duren. Iemand moet hele diepe zakken hebben en je moet dus je idee kunnen afschermen.
Misschien is het wel een doorbraak maar kan ASML net zo goed mee in dit idee om nog een nieuw concept te maken als opvolger van EUV, ik denk ik dat er vooraf al heel goed gekeken is wat er mogelijk is en wat wel high volume geschikt is. en dat daar de EUV het beste concept in was, alleen enorm uit te voeren voor de partij die machine moet maken (ASML).
Maar het matched verder wel exact met DUV. Ofwel. Integreer een EUV in je productie lijn en je bent klaar om de volgende node te halen. Dit is precies wat bij bijvoorbeeld Mapper ontbrak.

Je kon wel chips maken, maar je productie lijn moest naar een mapper lijn omgezet worden en je moest helemaal van scratch beginnen. Het was alleen een super mooie techniek voor als je identieke chips wilt maken omdat je geen mask (reticle) van +100 000 euro nodig had.
iedere chip kon identiek gemaakt worden. Maar in de praktijk heb je daar toch iets minder aan, een chip is toch echt gewoon een serie oplage.

lang verhaal weer.. ik vind het moeilijk om uit te leggen, maar in mijn hoofd is het heel duidelijk!

Raasgier
0
quote:

WaarIsWally schreef op 21 september 2020 12:14:

[...]

lang verhaal weer.. ik vind het moeilijk om uit te leggen, maar in mijn hoofd is het heel duidelijk!

Blij dat je je best doet hoor, dank!
Inion
1
EUV sluit aan op de reeds aanwezige processen bij foundries. Iets geheel nieuws vergt veel moed en kapitaal. Grote drempel. Men wil liever niet failliet gaan.
7.502 Posts, Pagina: « 1 2 3 4 5 6 ... 324 325 326 327 328 329 330 331 332 333 334 ... 372 373 374 375 376 » | Laatste
Aantal posts per pagina:  20 50 100 | Omhoog ↑

Meedoen aan de discussie?

Word nu gratis lid of log in met uw e-mailadres en wachtwoord.

Direct naar Forum

Detail

Vertraagd 25 apr 2024 17:38
Koers 833,700
Verschil -1,100 (-0,13%)
Hoog 840,300
Laag 815,200
Volume 515.076
Volume gemiddeld 558.047
Volume gisteren 477.145

EU stocks, real time, by Cboe Europe Ltd.; Other, Euronext & US stocks by NYSE & Cboe BZX Exchange, 15 min. delayed
#/^ Index indications calculated real time, zie disclaimer, streaming powered by: Infront